MITK-IGT
IGT Extension of MITK
Loading...
Searching...
No Matches
mux.vhdl
Go to the documentation of this file.
1-------------------------------------------------------
4-------------------------------------------------------
5
7library ieee;
9 use ieee.std_logic_1164.all;
10
12
16 port (
17 din_0 : in std_logic;
18 din_1 : in std_logic;
19 sel : in std_logic;
20 mux_out : out std_logic
21 );
22end entity;
23
26architecture behavior of mux_using_with is
27begin
28 with (sel) select
29 mux_out <= din_0 when '0',
30 din_1 when others;
31end architecture;
32
Mux entity brief description Detailed description of this mux design element.
Definition mux.vhdl:15
out mux_outstd_logic
Mux output.
Definition mux.vhdl:21
in din_0std_logic
Mux first input.
Definition mux.vhdl:17
in din_1std_logic
Mux Second input.
Definition mux.vhdl:18
in selstd_logic
Select input.
Definition mux.vhdl:19